From 7a942b70bc316930cab27a88d4cff45b60f0b070 Mon Sep 17 00:00:00 2001 From: brain Date: Mon, 3 Jul 2006 16:02:44 +0000 Subject: Someone made silence require 1 parameter, so no way to list the silence entries :p git-svn-id: http://svn.inspircd.org/repository/trunk/inspircd@4111 e03df62e-2008-0410-955e-edbf42e46eb7 --- src/modules/m_silence.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/modules/m_silence.cpp b/src/modules/m_silence.cpp index dea78c526..d921545fb 100644 --- a/src/modules/m_silence.cpp +++ b/src/modules/m_silence.cpp @@ -36,7 +36,7 @@ typedef std::vector silencelist; class cmd_silence : public command_t { public: - cmd_silence() : command_t("SILENCE", 0, 1) + cmd_silence() : command_t("SILENCE", 0, 0) { this->source = "m_silence.so"; } -- cgit v1.2.3